当前位置: > 帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的...
题目
帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的
ARCHITECTURE Behavioral OF FIFO IS
TYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7
DOWNTO 0);
—定义长为64宽为8的数组类型
SIGNAL fifo_memory :fifo_array; —定义FIFO的储存介质;
SIGNAL full_flag :STD_LOGIC; —内部满标志信号;
SIGNAL empty_flag :STD_LOGIC; —内部空标志信号
SIGNAL read_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —读地址
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —写地址

提问时间:2021-03-28

答案
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0);声明了一组信号,信号名称write_addr,信号类型STD_LOGIC_VECTOR,这个信号的类型是个std_logic数组,它的下标变化范围是(5 DOWNTO 0),也就是说,有6位std_logic类型构...
举一反三
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
1,人们染上烟瘾,最终因吸烟使自己丧命.
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.