当前位置: > Error (10500):VHDL syntax error at biaojue.vhd(16) near text "begin"; expecting an identifier ("b...
题目
Error (10500):VHDL syntax error at biaojue.vhd(16) near text "begin"; expecting an identifier ("b
Error (10500):VHDL syntax error at biaojue.vhd(16) near text "begin"; expecting an identifier ("begin" is a reserved keyword),or "constant",or "file",or "signal",or "variable"
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity biaojue is\x05
port (
xin:in std_logic_vector ( 6 downto 0 );
sel2:out std_logic;
);
end biaojue ;
architecture bev of biaojue is
begin
process ( xin );
variable j:integer :=0
begin
j:=0;
for i in 0 to 6 loop
if xin(i)='1' then
j:=j+1;
end if ;
end loop;
if j>3 then
sel2

提问时间:2022-01-02

答案
variable j:integer :=0 少了结束符“;”.应当为variable j:integer :=0;
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.