题目
求一个八位七段共阳极数码管的显示程序.
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt
提问时间:2021-03-07
答案
process(flip_led)
begin
\x09case flip_led is ---译码显示
\x09 when 0 =>sel
begin
\x09case flip_led is ---译码显示
\x09 when 0 =>sel
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
最新试题
- 1以“高三”为话题的作文.
- 2I‘d like to have a cup of coffee同义句
- 3怎么做a-3分之1-a²-9分之6
- 4写出系数为-1/3,次数为4,且只含字母a,b的单项式
- 5一节干电池的外电压为1.42V,电动势为1.5V,则它的内电压为_V.此时电池中每通过1C电量,有_J的化学能转化成电能,如果通过电池的电流为0.2A,则电池中化学能转化成电能的功率为_W,输出
- 6某人从甲地经乙地去丙地,当走了半小时之后,停下来休息10分钟,休息时别人对他说:从甲地到这儿正好是这儿到乙地路程的一半.休息后他加快步伐走了12千米,当他停下问路时才发现他已经到乙丙两地之间,且离丙地
- 7一台织布机4小时可以织布24米,照这样计算,要织布54米,需要几小时?(用比例解)
- 8在离地某一高度的同一位置,有A,B两个球,A球以V1=3m/s的速度水平向左抛出,同时B球以V2=4m/s的速度水平向右抛出,试求两个小球的速度方向垂直时,它们之间的距离多大(整个过程中两个小球未落地
- 9一个圆锥形小麦堆,底面直径是4米,高是2.7米,现在把这些小麦放到圆柱形的粮囤中恰好装满78.5%
- 10医院要制作1000块包扎用的三角巾,每块三角巾是两条直角边都为7分米的直角三角形,已知每分米
热门考点
- 1已知函数f(x)=loga[(a的x次方)-1](a>0且a≠1)
- 2一台洗衣机的长是50CM宽是40CM高是40CM现在要给它做个套,没有底.请问的需要多少布
- 3北风刮过树梢,黑熊躲进大树洞里.怎样改成双重否定句?
- 4三个人花同样的钱买了一些书,甲和乙各多拿了六本书,回来后甲和乙各给丙一元二角,问每本书多少钱?
- 5癌细胞是一种分裂失控的细胞,其自由水含量较正常的细胞低
- 6用我为你……我为你……我为你……我愿……造句
- 7It is high time that they started setting off on a trip ,这句话中为什么要用started ,
- 8如何用化学方法区别苯胺,N-甲基苯胺,N-N-二-甲基苯胺
- 9细胞膜的流动性是指整个细胞膜具有流动性吗
- 10假与马者,非利足也,而绝江河中的利是什么活用