当前位置: > 求一个八位七段共阳极数码管的显示程序....
题目
求一个八位七段共阳极数码管的显示程序.
下面的程序能显示76543210,但是9,8这两个数不能显示出来,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dynamic_segled is
port
\x09(
\x09\x09clk :in std_logic;\x09
\x09\x09data:out std_logic_vector(7 downto 0);
\x09\x09sel:out std_logic_vector(7 downto 0)
\x09);
end ;
architecture fun of dynamic_segled is
signal cnt:integer range 0 to 62449;
signal flip_led:integer range 0 to 7;
begin
\x09
process(clk)
begin
\x09if clk'event and clk='1' then ----- 动态扫描
\x09\x09 if cnt=62499 then
\x09\x09 \x09cnt

提问时间:2021-03-07

答案
process(flip_led)
begin
\x09case flip_led is ---译码显示
\x09 when 0 =>sel
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.