题目
Error (10500):VHDL syntax error at cqg.vhd(31) near text ":="; expecting "then"
Error (10500):VHDL syntax error at cqg.vhd(31) near text ":="; expecting "then"
Error (10500):VHDL syntax error at cqg.vhd(33) near text "elsif"; expecting "end",or "(",or an identifier ("elsif" is a reserved keyword),or a sequential statement
Error (10500):VHDL syntax error at cqg.vhd(35) near text "if"; expecting "case"
library ieee;
use ieee.std_logic_1164.all;
entity cqg is
port(clk,reset:in std_logic;
x:in std_logic_vector(1 downto 0);
sum_int:out std_logic_vector(3 downto 0);
sum_dec:out std_logic_vector(3 downto 0));
end cqg;
architecture behave of cqg is
type states is(s0,s1,s2);
signal current_state,next_state:states;
variable i1:std_logic_vector(3 downto 0);
variable d1:std_logic_vector(3 downto 0);
begin
reg:process(reset,clk)
begin
if clk'event and clk='1' then
if reset='1' then current_state
Error (10500):VHDL syntax error at cqg.vhd(31) near text ":="; expecting "then"
Error (10500):VHDL syntax error at cqg.vhd(33) near text "elsif"; expecting "end",or "(",or an identifier ("elsif" is a reserved keyword),or a sequential statement
Error (10500):VHDL syntax error at cqg.vhd(35) near text "if"; expecting "case"
library ieee;
use ieee.std_logic_1164.all;
entity cqg is
port(clk,reset:in std_logic;
x:in std_logic_vector(1 downto 0);
sum_int:out std_logic_vector(3 downto 0);
sum_dec:out std_logic_vector(3 downto 0));
end cqg;
architecture behave of cqg is
type states is(s0,s1,s2);
signal current_state,next_state:states;
variable i1:std_logic_vector(3 downto 0);
variable d1:std_logic_vector(3 downto 0);
begin
reg:process(reset,clk)
begin
if clk'event and clk='1' then
if reset='1' then current_state
提问时间:2021-01-16
答案
if d1:="1010" then d1:="0000";i1:=i1+"0001";应为if d1="1010" then d1:="0000";i1:=i1+"0001";variable i1:std_logic_vector(3 downto 0);variable d1:std_logi...
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
最新试题
- 1已知函数fx=sin2分之x×cos2分之x+根号3sin²2分之x+2分之根号3.求fx最小
- 2解不等式组 第一个:2x+5小于等于3(x+2) 第二个:2分之x-1小于3分之x
- 3几道高中数学填空题
- 4What does simon like to do
- 5"兄弟联盟"的英语是用Brothers alliance 还是Brothers Union
- 6代数式恒等变形
- 7正四棱柱ABCD—A1B1C1D1中,对角线BD1=8,BD1与侧面BC1所成的角位 求:(1)BD1与底面ABCD所成的角
- 8与朱元思书 中想象一下‘‘天山共色’’到底是什么样的景象?
- 9把your,take,piease,things,these,brother,to重新排列
- 10描写长江的作文200字 多运用成语
热门考点
- 1贬义方面比喻 勤奋是攀登科学高峰的阶梯,那懒惰是什么
- 2等腰梯形上底上一点与下底组成的三角形是直角三角形吗?最好给个证明的理论
- 3地下室是算主体结构,还是算基础部分?
- 41个月饼平均分成9份 小明吃了4份小红吃了3份 剩下的给妈妈 妈妈吃了几分之几
- 5关于高中数学的充要条件
- 61+2+3+...+99+100+99+...++3+2+1= 1+2+3+...+100 1+2+3++...+n的计算公式
- 7圆形油桶的底面直径是1米,它的占地面积大约是几?
- 8硫酸根、磷酸根、碳酸根、醋酸根、苯酚、碳酸氢根、硫酸氢根、盐酸的酸性强弱由大到小的顺序分别是?
- 9数学符号派既是无理数又是实数吗?
- 10六年级某班学生中有1/16的学生年龄为13岁,有3/4的学生年龄为12岁,其余学生年龄为11岁,这个班学生的平均年龄是_岁.