当前位置: > eda 数字时钟...
题目
eda 数字时钟
我也是学电子的.能把你的EDA课程设计给我发一份吗?
设计一个数字钟
设计一个能显示1/10秒、秒、分、时的12小时数字钟.
熟练掌握各种计数器的使用.
能用计数器构成十进制、六十进制、十二进制等所需进制的计数器.
能用低位的进位输出构成高位的计数脉冲.

提问时间:2020-12-01

答案
我不是学姐,答案我就不帮你做了,给你一些提示,希望你能独立完成.
1:先选对计数器,根据需要选择4位,8位,32位(如果没有32位的计数器可以用2个16位的计数器级联起来,第一级的计数器的高位输出驱动第二级的计数器始终)
2:10进制,12进制,60进制的计数器怎么做?
你需要一个比较器,比较器输入端比较counter的值和一个preset value,如果两个值相等,则输出一,否则输出0,用这个比较信号来控制counter的复位信号,注意有些复位是低电平有效
3:有了上面的这些计数器以后怎么做时钟?
用级联的方式把上面这些计数器串联起来,也就是说
用function generator 产生一个10Hz的频率分秒的比较器输出当作秒的时钟输入(enable也可以),同样的道理,秒的计数器的比较器出入做分的计数器的十种输入.
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.