当前位置: > 在Vhdl中,定义count是unsigned(3downto0),怎么理解count=count+1这个表达式,其中1怎么理解,...
题目
在Vhdl中,定义count是unsigned(3downto0),怎么理解count=count+1这个表达式,其中1怎么理解,
还有其中1为什么不能写成'1'?

提问时间:2020-11-23

答案
这里count相当于用二进制表示十六进制数,而且是无符号数,count+1的意思是在给定初值的情况下,对初值加一,一般用于计数器中
而你说的为什么不能是'1',必须的呀,'1'是字符,1是数字,count本身不是字符型的,两者不能加
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.