当前位置: > 设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0....
题目
设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0.
写出设计步骤,最好能说明一下思路和原理

提问时间:2020-10-15

答案
如果定义为 :“设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为0,否则y为1.” 就很容易理解和实现.如下:8421bcd 的编码范围是 0000 0001 …… 1000 1001,你只需要检测出来是不是 以下六...
举一反三
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
1,人们染上烟瘾,最终因吸烟使自己丧命.
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.