当前位置: > 求一个 函数信号发生器...
题目
求一个 函数信号发生器
要求:
产生方波(200-2000Hz)、锯齿波(200-2000Hz)、占空比可调的矩形波.
运放:MC1595、MC4558、F007或自选
分工:方波一人,锯齿波一人,矩形波一人.
好吧,算我题目没有说清楚,我要的是能在multisim10仿真出来的,谢谢了

提问时间:2021-03-13

答案
方波和矩形波直接用FPGA产生,矩形波其实就是占空比不是50的方波
用VERILOG的话:
reg clk_fang;//方波
reg clk_ju;//矩形波
reg [X:0] cnt;
always @ ( posedge clk)
begin
cnt
举一反三
已知函数f(x)=x,g(x)=alnx,a∈R.若曲线y=f(x)与曲线y=g(x)相交,且在交点处有相同的切线,求a的值和该切线方程.
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.