当前位置: > Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential stat...
题目
Error (10500):VHDL syntax error at bijiao.vhd(26) near text "PROCESS"; expecting a sequential stat
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY bijiao IS
PORT(A,B:IN BIT_VECTOR(3 DOWNTO 0);
F1,F2,F3:OUT BIT);
END bijiao;
ARCHITECTURE behave OF bijiao IS
BEGIN
PROCESS(A,B)
BEGIN
IF(A>B) THEN
F1

提问时间:2021-01-14

答案
问题是这样子的:
你在第二个分支时使用的是ELSE IF 而不是ELSIF.那么也就是说这个语句中有两个IF语句,你的最后的END IF只是针对最近的IF.所以建议你将第二个分支改成ELSIF就可以了:)
举一反三
我想写一篇关于奥巴马的演讲的文章,写哪一篇好呢?为什么好
奥巴马演讲不用看稿子.为什么中国领导演讲要看?
想找英语初三上学期的首字母填空练习……
英语翻译
1,人们染上烟瘾,最终因吸烟使自己丧命.
版权所有 CopyRight © 2012-2019 超级试练试题库 All Rights Reserved.